Site menu:

References

  [1] K. Compton and S. Hauck, "Reconfigurable Computing: A Survey of Systems and Software", ACM Computing Surveys, Vol. 34, No. 2, pp. 171-210, June, 2002. pdf
  [2] S. Hauck, "The Roles of FPGAs in Reprogrammable Systems", Proceedings of the IEEE, Vol. 86, No. 4, pp. 615-638, April, 1998. pdf
  [3] R. Tessier and W. Burleson, "Reconfigurable Computing for Digital Signal Processing: A Survey", Journal of VLSI Signal Processing, Vol. 28, No. 1, pp. 7-27, June, 2001. pdf
  [4] A. DeHon, "Comparing Computing Machines", Proceedings of SPIE, pp. 124-133, November, 1998. pdf
  [5] W. Mangione-Smith, B. Hutchings, D. Andrews, A. DeHon, C. Ebeling, R. Hartenstein, O. Mencer, J. Morris, K. Palem, V. Prasanna, and H. Spaanenburg, "Seeking Solutions in Configurable Computing", IEEE Computer, Vol. 30, No. 12, pp. 38-43, December, 1997. pdf
  [6] A. DeHon, "The Density Advantage of Configurable Computing", IEEE Computer, Vol. 33, No. 4, pp. 41-49, April, 2000. pdf
  [7] V. Betz and J. Rose, "Cluster-Based Blocks for FPGAs: Area-Efficiency vs. Input Sharing and Size", In Proceedings of the Custom Integrated Circuits Conference, pp. 551-554, May 1997. pdf
  [8] S. Wilton, J. Rose, and Z. Vranesic, "Memory/Logic Interconnect Flexibility in FPGAs with Large Embedded Memory Arrays", Proceedings of the Custom Integrated Circuits Conference, pp. 144-147, May 1996. pdf
  [9] S. Brown and J. Rose, "Architecture of FPGAs and CPLDs: A Tutorial", IEEE Design & Test of Computers, Vol. 13, No. 2, pp. 42-57, 1996. pdf
  [10] E. Ahmed and J. Rose, "The Effect of LUT and Cluster Size on Deep-Submicron FPGA Performance and Density", IEEE Transactions on Very Large Scale (VLSI) Systems, Vol. 12, No. 3, pp. 288-298, March, 2004. pdf
  [11] A. Aggarwal and D. Lewis, "Routing Architectures for Hierarchical Field Programmable Gate Arrays", Proceedings of the IEEE International Conference on Computer Design, pp. 475-478, October, 1994. pdf
  [12] Y. Chang, D. Wong, and C. Wong, "Universal Switch-Module Design for Symmetric-Array-Based FPGAs", Proceedings of the International Symposium on Field-Programmable Gate Arrays, pp. 80-86, February, 1996. pdf
  [13] A. DeHon, Reconfigurable Architectures for General-Purpose Computing, AI Technical Report 1586, MIT Artificial Intelligence Laboratory, Cambridge, MA, October 1996. pdf
  [14] R. Francis, J. Rose, and Z. Vranesic, "Chortle-crf: Fast Technology Mapping for Lookup Table-Based FPGAs", Proceedings of the ACM/IEEE Design Automation Conference, pp. 227-233, June, 1991. pdf
  [15] S. Hauck, M. Hosler, and T. Fry, "High-Performance Carry Chains for FPGAs", IEEE Transactions on VLSI Systems, Vol. 8, No. 2, pp. 138-147, April, 2000. pdf
  [16] N. Woo, "Revisiting the Cascade Circuit in Logic Cells of Lookup Table Based FPGAs", Proceedings of the International Symposium on Field-Programmable Gate Arrays, pp. 90-96, February, 1995. pdf
  [17] N. Shirazi, A. Walters, and P. Athanas, "Quantitative Analysis of Floating Point Arithmetic on FPGA Based Custom Computing Machines", Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 155-162, April, 1995. pdf
  [18] K. Underwood, "FPGAs vs. CPUs: Trends in Peak Floating-Point Performance", Proceedings of the International Symposium on Field-Programmable Gate Arrays, pp. 171-180, February, 2004. pdf
  [19] J. Arnold, D. Buell, and E. Davis, "SPLASH 2", Proceedings of the ACM Symposium on Parallel Algorithms and Architectures, pp. 316-322, June, 1992. pdf
  [20] A. Abbott, P. Athanas, and L. Chen, "Finding Lines and Building Pyramids with Splash 2", Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 155-161, April, 1994. pdf
  [21] J. Lockwood, N. Naufel, J. Turner, and D. Taylor, "Reprogrammable Network Packet Processing on the Field Programmable Port Extender (FPX)", Proceedings of the International Symposium on Field Programmable Gate Arrays, pp. 87-93, February, 2001. pdf
  [22] H. Song and J. Lockwood, "Efficient Packet Classification for Network Intrusion Detection using FPGA", Proceedings of the International Symposium on Field-Programmable Gate Arrays, pp. 238-245, February, 2005. pdf
  [23] M. Attig and J. Lockwood, "A Framework for Rule Processing in Reconfigurable Network Systems", Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 225-234, April, 2005. pdf
  [24] R. Lien, T. Grembowski, and K. Gaj, "A 1Gbit/s Partially Unrolled Architecture of Hash Functions SHA-1 and SHA-512", Proceedings of the Cryptographer's Track at RSA Conference, pp. 324-328, February, 2004. pdf
  [25] J. Zambreno, D. Nguyen, and A. Choudhary, "Exploring Area/Delay Tradeoffs in an AES FPGA Implementation", Proceedings of the International Conference on Field-Programmable Logic and its Applications, pp. 575-585, August, 2004. pdf
  [26] P. Kohlbrenner and K. Gaj, "An Embedded True Random Number Generator for FPGAs", Proceedings of the International Symposium on Field-Programmable Gate Arrays, pp. 71-78, February, 2004. pdf
  [27] J. Babb, R. Tessier, and A. Agarwal, "Virtual Wires: Overcoming Pin Limitations in FPGA-based Logic Emulators", Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 142-151, April 1993. pdf
  [28] R. Amerson, R. Carter, W. Culbertson, P. Kuekes, and G. Snider, "Teramac - Configurable Custom Computing", Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 32-38, 1995. pdf
  [29] C. Chang, J. Wawrzynek, and R. Brodersen, "BEE2: A High-End Reconfigurable Computer", IEEE Design and Test of Computers, Vol. 22, No. 2, pp. 114-125, March, 2005. pdf
  [30] H. Kung and P. Lohman, "Systolic (VLSI) Arrays for Relational Database Operations", Proceedings of the ACM SIGMOD International Conference on Management of Data, pp. 105-116, 1980. pdf
  [31] M. Lam and J. Mostow, "A Transformational Model of VLSI Systolic Design", IEEE Computer, Vol. 18, No. 2, pp. 42-52, February, 1985. pdf
  [32] K. Johnson, A. Hurson, and B. Shirazi, "General-Purpose Systolic Arrays", IEEE Computer, Vol. 26, No. 11, pp. 20-31, November, 1993. pdf
  [33] D. Moldovan, "On the Design of Algorithms for VLSI Systolic Arrays", Proceedings of the IEEE, Vol. 71, No. 1, pp. 113-120, January, 1983. pdf
  [34] T. Isshiki and W. Dai, "High-Level Bit-Serial Datapath Synthesis for Multi-FPGA Systems", Proceedings of the International Symposium on Field-Programmable Gate Arrays, pp. 167-173, Februrary, 1995. pdf
  [35] R. Rutenbar, T. Mudge, and D. Atkins, "A Class of Cellular Architectures to Support Physical Design Automation", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 3, No. 4, pp. 264-278, October, 1984. pdf
  [36] S. Devadas and A. Newton, "Algorithms for Hardware Allocation in Data Path Synthesis", IEEE Transactions on Computer-Aided Design, Vol. 8, No. 7, pp. 768-781, July 1989. pdf
  [37] J. Cong and C. Wu, "FPGA Synthesis with Retiming and Pipelining for Clock Period Minimization of Sequential Circuits", Proceedings of the ACM/IEEE Design Automation Conference, pp. 644-649, June, 1997. pdf
  [38] S. Hauck and G. Borriello, "An Evaluation of Bipartioning Techniques", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 16, No. 8, pp. 849-866, August, 1997. pdf
  [39] J. Swartz, V. Betz, and J. Rose, "A Fast Routability-Driven Router for FPGAs", Proceedings of the International Symposium on Field-Programmable Gate Arrays, pp. 140-149, February, 1998. pdf
  [40] A. Marquardt, V. Betz, and J. Rose, "Using Cluster-Based Logic Blocks and Timing-Driven Packing to Improve FPGA Speed and Density", Proceedings of the International Symposium on Field-Programmable Gate Arrays, pp. 37-46, February, 1999. pdf
  [41] J. Cong and C. Wu, "Optimal FPGA Mapping and Retiming with Efficient Initial State Computation", IEEE Transactions on Computer-Aided Design of Integrated Circuits and System, Vol. 18, No. 11, pp. 1595-1607, April, 1999. pdf
  [42] S. Hassoun and C. Ebeling, "Architectural Retiming: Pipelining Latency-Constrained Circuits", Proceedings of the ACM/IEEE Design Automation Conference, pp. 708-713, June, 1996. pdf
  [43] N. Weaver, Y. Markovskly, Y. Patel, and J. Wawrzynek, "Post-Placement C-slow Retiming for the Xilinx Virtex FPGA", Proceedings of the International Symposium on Field-Programmable Gate Arrays, pp. 185-194, February, 2003. pdf
  [44] W. Wolf, "Hardware-Software Co-Design of Embedded Systems", Proceedings of the IEEE, Vol. 82, No. 7, pp. 967-989, July, 1994. pdf
  [45] G. De Micheli and R. Gupta, "Hardware/Software Co-Design", Proceedings of the IEEE, Vol. 85, No. 3, pp. 349-365, March, 1997. pdf
  [46] A. DeHon, "Dynamically Programmable Gate Arrays: A Step Towards Increased Computational Density", Proceedings of the Canadian Workshop of Field-Programmable Devices, May, 1996. pdf
  [47] S. Trimberger, D. Carberry, A. Johnson, and J. Wong, "A Time-Multiplexed FPGA", Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 22-28, April, 1997. pdf
  [48] R. Siduhu, A. Mei, and V. Prasanna, "String Matching on Multicontext FPGAs using Self-Reconfiguration", Proceedings of the International Symposium on Field-Programmable Gate Arrays, pp. 217-226, February, 1999. pdf
  [49] C. Ebeling, D. Cronquist, and P. Franklin, "RaPiD - Reconfigurable Pipelined Datapath"", Proceedings of the International Conference on Field-Programmable Logic and Applications, pp. 126-135, August, 1996. pdf
  [50] E. Waingold, M. Taylor, D. Srikrishna, V. Sarkar, W. Lee, V. Lee, J. Kim, M. Frank, P. Finch, R. Barua, J. Babb, S. Amarasinghe, and A. Agarwal, "Baring It All to Software: Raw Machines", IEEE Computer, Vol. 30, No. 9, pp. 86-93, September, 1997. pdf
  [51] J. Hauser and J. Wawrzynek, "Garp: A MIPS Processor with a Reconfigurable Coprocessor", Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 24-33, April, 1997. pdf
  [52] R. Razdan and M. Smith, "A High-Performance Microarchitecture with Hardware-Programmable Functional Units", Proceedings of the International Symposium on Microarchitecture, pp. 172-180, November, 1994. pdf
  [53] S. Hauck, T. Fry, M. Hosler, and J. Kao, "The Chimaera Reconfigurable Functional Unit", Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 87-96, April, 1997. pdf
  [54] M. Gokhale and D. Gomersall, "High Level Compilation for Fine Grained FPGAs", Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 165-173, April, 1997. pdf
  [55] P. Banerjee, N. Shenoy, A. Choudhary, S. Hauck, C. Bachmann, M. Haldar, P. Joisha, A. Jones, A. Kanhare, A. Nayak, S. Periyacheri, M. Walkden, and D. Zaretsky, "A MATLAB Compiler For Distributed, Heterogeneous, Reconfigurable Computing Systems", Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 39-48, April, 2000. pdf
  [56] A. DeHon, "Design of Programmable Interconnect for Sublithographic Programmable Logic Arrays", Proceedings of the International Symposium on Field-Programmable Gate Arrays, pp. 127-137, February, 2005. pdf
  [57] S. Ferrera and N. Carter, "A Magnetoelectronic Macrocell Employing Reconfigurable Threshold Logic", Proceedings of the International Symposium on Field-Programmable Gate Arrays, pp. 143-151, February, 2004. pdf


Related Courses


Useful Links


Documentation